欢迎来到启牛文库网! | 帮助中心 知识改变命运,上传文档,获取收益!上传文档QQ群:387200517 — 邀人有奖!
启牛文库网
全部分类
  • 办公文档>
    办公文档
    总结报告 心得体会 工作范文 工作计划 解决方案 会议纪要 述职报告 事务文书 模板表格 调研报告 经验事迹 规章制度 招标投标 理论文章 礼仪庆典 活动策划 求职简历 演讲稿致辞 Excle表格 其它办公文档
  • 教育资料>
    教育资料
    幼儿教育 小学教育 初中教育 高中教育 大学教育 考研资料 教学教案 教学课件 教学研究 教育范文 考试资料 小学作文 初中作文 高中作文 精品作文 培训教程 培训教材 职业教育 成人自考 外语文库 认证考试 手抄板报 其它教育文档
  • PPT专区>
    PPT专区
    PPT模板 PPT素材 总结计划 企业培训 教育课件 述职竞聘 党政军警 商业策划 融资路演 高端商务 工作办公 政府汇报 医学医疗 毕业答辩 节日庆典 演讲培训 餐饮美食 唯美清新 中国风格 行业数据 旅游生活 其它PPT模板
  • 建筑工程>
    建筑工程
    建筑规范 建筑设计 建筑施工 工程图纸 工程造价 水利工程 路桥工程 园林设计 室内设计 结构设计 电力电气 暖通空调 勘察测绘 给排水 钢结构 房地产 其它工程文档
  • 企业管理>
    企业管理
    企业文化 薪酬管理 合同协议 人力资源 绩效管理 创业孵化 招商加盟 商业计划 市场营销 企划宣传 资本运营 财务报表 商务礼仪 项目管理 其它管理文档
  • 行业资料>
    行业资料
    标准规范 人文社科 法律文献 工业制造 IT网络 医药卫生 农林牧渔 自然科学 金融证券 旅游娱乐 食品饮料 家居家电 其它行业资料
  • 生活休闲>
    生活休闲
    科普知识 励志创业 婚嫁育儿 家居装修 户外运动 美食烹饪 摄影摄像 文化艺术 网络生活 服装配饰 星座运势 宗教风水 美容塑身 娱乐时尚 保健养生 两性情感 时政新闻 社会民生 琴棋书画 游戏攻略 留学签证 手工制作 滑稽幽默 宠物驯养 其它百科知识
  • 百家杂谈>
    百家杂谈
  • ImageVerifierCode 换一换
    首页 启牛文库网 > 资源分类 > DOC文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于可编程逻辑器件的DDS设计设计任务.doc

    • 资源ID:1149227       资源大小:1.77MB        全文页数:61页
    • 资源格式: DOC        下载积分:2积分
    快捷下载 游客一键下载
    账号登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    下载资源需要2积分
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    开通VIP享超值特权
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于可编程逻辑器件的DDS设计设计任务.doc

    1、毕业设计(论文)任务书一、设计题目:1、题目名称 基于可编程逻辑器件的DDS设计2、题目来源 二、目的和意义正弦频率源是现代通讯电子系统,测试仪器仪表,电子抗干扰系统实现高性能指标的关键技术之一,很多电子设备的功能实现都直接依赖于所用频率源的性能,利用频率合成技术实现频率合成源的发展大致经历了三个阶段1:直接频率合成,锁相式频率合成,直接数字频率合成。直接数字频率合成(DDS- Digital Direct Frequency Synthesis)是一种新的频率合成方法, DDS由于具有极高的频率分辨率,极快的频率切换速度,频率切换时相位连续,易于功能扩展和全数字化便于集成等优点,因此被广泛用

    2、于雷达,通信,电子对抗和仪器仪表等领域。本设计的目的是利用EDA技术和CPLD芯片对采用DDS技术的正弦信号发生器的实现方法进行研究,掌握DDS的原理和特点,了解集成DDS芯片的应用,同时掌握采用EDA技术中自顶向下的电子系统设计方法,对设计电路进行逻辑和时序仿真,完成整机印制电路板的设计和电源设计。通过设计使学生掌握查阅相关资料、综合所得资料来拓宽知识面;掌握解决工程实际问题的基本方法和步骤;培养学生独立思考和独立工作的能力;熟练掌握使用计算机进行电子系统设计的方法和步骤。三、设计要求本设计有两个方向的设计要求可选:利用可编程逻辑器件和EEPROM、DAC实现DDS的基本功能,其中采用EEP

    3、ROM芯片28C64储存波形数据,使用CPLD实现的控制器和DAC芯片DAC0832完成波形周期、幅度、相位的控制,应保证输出幅度不小于5V(有效值);利用可编程逻辑器件设计DDS芯片AD9834的控制器,来实现直接数字频率合成。电路可以实现的波形有:正弦波,方波,三角波,正向锯齿波,反向锯齿波、脉冲波及各种调制波形。四、设计说明书应符合毕业论文撰写规范,包括的内容1. 摘要、目录、前言、正文、参考文献、附录等。2. 本次设计基本情况概述,各单元电路和整机的工作原理说明。3. 设计内容的硬件原理图、程序清单、元件清单。4. 逻辑仿真和时序仿真结果。5. 工艺文件(印制电路板图、元器件工艺参数、

    4、机箱参数、配套开关电源)。6. 结论五、设计应完成的图纸1. 系统原理框图2. 各仿真结果图3. 详细电路原理图和印制电路板图六、主要参考资料1. DDS芯片原版资料2. 全国大学生电子设计竞赛获奖作品选编 北京理工大学出版社3. CPLD系统设计技术入门与应用 黄正谨 电子工业出版社4. 公开发表的有关DDS技术及其实现方法的论文。七、进度要求1、实习阶段 第 8 周( 4 月19日)至第 10 周( 5 月 8日)共 3 周2、设计阶段 第 1 周( 3 月 1 日)至第 7 周( 4 月 17 日)共 7 周 第 11 周( 5 月8 日)至第 18 周( 7 月 7 日)共 8 周3、

    5、答辩日期 第 18 周( 2010 年 7 月 3 日) 八、其它要求基于可逻辑编程器件的DDS设计摘 要直接数字频率合成(DDS)技术采用全数字的合成方法,产生的信号具有频率高、频率切换速度快。频率切换时相位连续,输出相位噪声低和可以产生任意波形等诸多优点。本文在对现有DDS技术的大量文献调研的基础上,提示了符合FPGA结构的DDS设计方案并利用MAX PLUSII软件在EMPROM系列芯片上进行了实现,详细的介绍了本次设计的具体实现过程和方法,将现场可逻辑编程器件FPGA和DDS技术相结合,具体的体现了基于VHDL语言的灵活设计和修改方式是对传统频率合成实现方法的一次重要改进。FPGA器件

    6、作为系统控制的核心,其灵活的现场可更改性,可再配置能力,对系统的各种改进非常方便,在不更改硬件电路的基础上还可以进一步提高系统的性能。文章给出了仿真结果,经过验证本设计能够达到其预期性能指标。关键词:直接数字频率合成器(DDS)、硬件描述语言(VHDL)、现场可编程门阵列(FPGA)Based on Programmable logic devices DDS designAbstractThe DDS(Direct Digital Frequency Synthesis ) technique abopts full-digital synthesis methods. The genera

    7、ted signals have advantages of high frequency resolutions, fast frequency switching,continuous phase while frequency switching,low noise phase and being able to generate arbitrary waveforms.In this work, after reviewing a lot of literatures published on DDS technology,DDS scheme based on FPGA struct

    8、ure are proposed,and then implemented in A EMPROM series FPGA using MAXPLUS tool the paper introduced the concrete. Implementation process, this way associates DDS with field programmable gate arrav(FPGA) technology, the way based on VHDL is flexible in designing and modifying, which is a important

    9、innovation to the tradion synthesize way, FPGA.Device control core as system, its flexible scene can altering, can dispose ability again, very convenient to various kinds of improvement of the system ,can also improve systematic performance further on the basis of altering hardware circuit .at the e

    10、nd of paper, the author displays simulations result,after verification, the design meets the demand of original definition.Key words: DDS、FPGA、VHDL目录前言9第1章 绪论91.1 频率合成的发展历程91.2 DDS的优点与缺点91.3 发展前景10第2章 现场可编程门阵列(FPGA)102.1 EDA技术的介绍102.1.1 EDA的简介102.2 FPGA的介绍102.2.1 FPGA的概述102.2.2 FPGA的基本结构102.2.3 FPGA

    11、开发流程112.3 MAX+Plus II应用简介112.3.1 概述112.3.2 Max+plus功能简介11第3章 总体设计113.1 DDS的基本原理113.2 实现DDS的方案123.2.1 采用高性能DDS单片电路的解决方案123.2.2 采用低频正弦波DDS单片电路的解决方案123.2.3 自行设计的基于FPGA芯片的解决方案12第4章 用VHDL实现DDS134.1 VHDL语言简介134.2 频率控制134.2.1 频率控制原理134.2.2 频率采集原理144.3 数码显示数据处理184.3.1 数码位选信号184.3.2 译码194.4 正弦波信号214.4.1 相位累加

    12、器214.4.2 查表224.5 DDS设计的总体24第5章 硬件实现与外围电路265.1 数模转换265.1.1 DAC0832引脚及其功能265.1.2 DAC0832的工作方式265.1.3 DAC与FPGA的连接275.2 滤波电路285.2.1 滤波电路原理图285.2.2 滤波器与DAC的连接295.3 按键电路295.4 显示电路305.4.1 数码管的工作原理305.4.2 数码管与FPGA的连接315.4.3 位选信号的译码(3-8译码器)31结束语32参考文献33附录A VHDL程序汇总35附录B 系统总电路图39附录C 元器件清单40附录D 英文原稿及翻译41前言直接数字

    13、频率合成技术(Direct Digital Frequency Synthesis),即DDFS, 一般简称(DDS)是从相位直接合成所需波形的一种新的频率合成技术。近年来,DDS技术和器件水平的不断发展,使得DDS合成技术也得到了飞速的发展.目前,该技术在相对带宽、频率转换时间、相位连续性、正交输出、高分辨力以及集成化等一系列性能指标已经超过了传统的频率合成技术所能达到的水平,从而完成了频率合成技术的又一次飞跃,同时也已成为目前应用最广泛的频率合成技术。第1章 绪论1.1 频率合成的发展历程频率合成器是电子系统的心脏,是决定电子系统性能的关键设备。随着现代无线电通信事业的发展,移动通讯雷达武

    14、器和电子对抗等系统对频率合成器提出越来越高的要求。低相噪、高纯频谱和高速捷变的频率合成器一直是频率合成技术发展的主要目标。从频率合成技术的发展过程看频率合成的方法主要有三种:1)直接频率合成, 它是最早由Finden 首先提出的的合成方法。它是使基准信号通过脉冲形成电路来产生丰富谐波脉冲,随后通过混频、分频、倍频和带通滤波器完成频率的变换和组合,以产生我们需要的大量离散频率从而实现频率合成。2)锁相频率合成,是应用模拟或数字锁相环路的间接频率合成。它被称为第二代频率合成技术。早期的合成器使用模拟锁相环,后来又出现了全数字锁相环和数模混合的锁相环。数字鉴相器、分频器加模拟环路滤波压控振荡器的混合锁相环是目前最为普遍的PLL组成方式。与直接频率合成不同的是,锁相频率合成的系统分析重点放在PLL的跟踪、噪声、捕捉性能和稳定性的研究上,不放在组合频率的抑制上。3)直接数字频率合成(DDS)。随着数字技术的发展,人们重新想到了直接合成法,出现了直接数字频率合成器DDS,导致了第二次频率合成技术的飞跃,它是用数字计算机和数模变换器来产生信号该技术出现于七十年代,从而揭开了频率合成技术发展的新篇章,标志着频率合成技术迈进了第三代。1.2 DDS的优点与缺点DDS是一种全数字化的频率合成方法。DDS频率合成器主要由频率寄存器、相位累加器、波形ROM、D/A转换器和低通滤波器组成


    注意事项

    本文(基于可编程逻辑器件的DDS设计设计任务.doc)为本站会员主动上传,启牛文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即阅读启牛文库网的“版权提示”【网址:https://www.wojuba.com/h-37.html】,按提示上传提交保证函及证明材料,经审查核实后我们立即给予删除!




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    启牛文库网为“电子文档交易平台”,即用户上传的文档直接卖给(下载)用户,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。

    本站是网络服务平台方,若您的权利被侵害,请立刻联系我们并提供证据,侵权客服QQ:709425133 欢迎举报。

    ©2012-2025 by www.wojuba.com. All Rights Reserved.

    经营许可证编号:京ICP备14006015号